논리회로 설계- 디코더, 인코더에 상대하여
페이지 정보
작성일 20-12-12 17:07본문
Download : 논리회로 설계- 디코더, 인코더에 대해서.hwp
순서
설명
논리회로,설계,디코더,인코더,대해서,기타,레포트
1. 개 요
○ 가산기 설계를 통한 전반적인 Modelsim, Xilinx ISE 사용법 실습
○ TEST bench, simulation 방법 이해
2. 문 제
(1) 3*8 Decoder
-Behavioral modeling
library ieee;
use ieee.std_logic_1164.all;
entity decoder is
port (x : in std_logic_vector(2 downto 0);
d : out std_logic_vector(7 downto 0));
end decoder;
architecture behavioral of decoder is
begin
process (x)
begin
case x is
when 000 => d <= 10000000 ;
when 001 => d <= xxx00000 ;
when xxx => d <= 0xxx0000 ;
when xxx => d <= 00xxx000 ;
when 100 => d <= 000xxx00 ;
when 101 => d <= 0000xxx0 ;
when 110 => d <= 00000xxx ;
when others => d <= 00000001 ;
end case;
end process;
end behavioral;
-Data flow modeling
library ieee;
use ieee.std_logic_1164.all;
entity decoder_dataflow is
port( x: in std_logic_vector(2 downto 0);
d: out std_logic_vector(7 downto 0):=00000000);
end decoder_dataflow;…(省略)
논리회로 설계- 디코더, 인코더에 대해서 , 논리회로 설계- 디코더, 인코더에 대해서기타레포트 , 논리회로 설계 디코더 인코더 대해서
레포트/기타
논리회로 설계- 디코더, 인코더에 상대하여
논리회로 설계- 디코더, 인코더에 상대하여






Download : 논리회로 설계- 디코더, 인코더에 대해서.hwp( 27 )
다.